BC859CTRL vs BC859CMTF feature comparison

BC859CTRL YAGEO Corporation

Buy Now Datasheet

BC859CMTF Fairchild Semiconductor Corporation

Buy Now Datasheet
Part Life Cycle Code Obsolete Obsolete
Ihs Manufacturer PHILIPS COMPONENTS FAIRCHILD SEMICONDUCTOR CORP
Package Description SMALL OUTLINE, R-PDSO-G3 SMALL OUTLINE, R-PDSO-G3
Reach Compliance Code unknown compliant
ECCN Code EAR99 EAR99
Additional Feature LOW NOISE LOW NOISE
Collector Current-Max (IC) 0.1 A 0.1 A
Collector-Emitter Voltage-Max 30 V 30 V
Configuration SINGLE SINGLE
DC Current Gain-Min (hFE) 420 420
JESD-30 Code R-PDSO-G3 R-PDSO-G3
Number of Elements 1 1
Number of Terminals 3 3
Package Body Material PLASTIC/EPOXY PLASTIC/EPOXY
Package Shape RECTANGULAR RECTANGULAR
Package Style SMALL OUTLINE SMALL OUTLINE
Polarity/Channel Type PNP PNP
Qualification Status Not Qualified Not Qualified
Surface Mount YES YES
Terminal Form GULL WING GULL WING
Terminal Position DUAL DUAL
Transistor Application AMPLIFIER SWITCHING
Transistor Element Material SILICON SILICON
Transition Frequency-Nom (fT) 150 MHz 150 MHz
VCEsat-Max 0.3 V
Base Number Matches 2 3
Pbfree Code Yes
Rohs Code Yes
Part Package Code SOT-23
Pin Count 3
Manufacturer Package Code 3LD, SOT23, JEDEC TO-236, LOW PROFILE
HTS Code 8541.21.00.95
JESD-609 Code e3
Moisture Sensitivity Level 1
Operating Temperature-Max 150 °C
Peak Reflow Temperature (Cel) 260
Power Dissipation-Max (Abs) 0.3 W
Terminal Finish MATTE TIN
Time@Peak Reflow Temperature-Max (s) 30

Compare BC859CTRL with alternatives

Compare BC859CMTF with alternatives